Package: iverilog Version: 11.0-c4m.1+13.1 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 6694 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.0), libreadline8 (>= 6.0), libstdc++6 (>= 5.2), zlib1g (>= 1:1.2.0) Filename: ./amd64/iverilog_11.0-c4m.1+13.1_amd64.deb Size: 1930204 MD5sum: 65110d9ae6edcbc198a2383d7f9eb9f8 SHA1: b807b4e2132c8bb953005a62d162950cd5a1d982 SHA256: e2ad837f04aa060aaa4aa1d1d0e32b8768bb7f7324bcec19143d65e9097473a7 Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: klayout Version: 0.27.2-c4m.1+12.1 Architecture: amd64 Maintainer: Matthias Köfferlein Installed-Size: 194224 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.0), libpython3.8 (>= 3.8.2), libqt5core5a (>= 5.12.2), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.9.0~beta3) | libqt5gui5-gles (>= 5.9.0~beta3), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.5.0), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.12.2), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.7 (>= 2.7.0), libstdc++6 (>= 5.2), zlib1g (>= 1:1.1.4) Filename: ./amd64/klayout_0.27.2-c4m.1+12.1_amd64.deb Size: 35504772 MD5sum: b419c6c6ab5ebea31bb2c5798132b55f SHA1: 04c20086374fff454d4e53db908e97027deeb0ad SHA256: 04173275c9610e9f23839d51a288213be9f5d2d1494faca0fa8b4f17d40259c9 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more.