Package: cocotb Version: 1.5.2-c4m.1+6.15 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 1728 Depends: libc6 (>= 2.4), libgcc1 (>= 1:3.5), libstdc++6 (>= 5.2), python Filename: armhf/cocotb_1.5.2-c4m.1+6.15_armhf.deb Size: 271964 MD5sum: da907e603a96b5ea27670ecf0132ec3e SHA1: 0b0c06d646de5610cafcaf6434fba0e7358b1315 SHA256: 69ebbfab5dd9d9961538cfa943e1e3a87d5ee45df4ccda34d8b0deadafc958f2 Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: cocotb-dbgsym Source: cocotb Version: 1.5.2-c4m.1+6.15 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 1635 Depends: cocotb (= 1.5.2-c4m.1+6.15) Filename: armhf/cocotb-dbgsym_1.5.2-c4m.1+6.15_armhf.deb Size: 1232592 MD5sum: 9a3e6d2f31297da8d735cef6e7ad5e0e SHA1: b51a81ee5e88d17edfec0f9d25efafc32e3823dd SHA256: 30fda1ab0f98e4137728826e42b7f2451a9e14c8009ef8bc308390d539b19526 Section: debug Priority: optional Description: debug symbols for cocotb Build-Ids: 0ab5dddf554ec66160edd5b92bd162d401f84bf5 17fc08495048ba2a49ae866e2e1b8b1d8991ace1 221990c3568bc853f2cbd3418248560ebe88b1e9 246f23022b42b6933dfcbe9511b99cf9a646a144 5c9003fc1cc683acb9e59163291187038fc05d56 70935cf5ab21511529142cc4af1da560e2461f2a 8c915d5d475c42041d3a84c00703ef596ba14080 8dac0b6f08b8e7252b3e237d9672be799a85314f b6ffd86b3facc5346c7507260753295d0570d7bc d4c2886a39cb0df5aa884e3b87e8ee01f9710712 d7a00fee630bba82095364719c252cd576b48e1e db10e2565854b6d5e42d8d7fb1d3b0a920f72faa fe2c8e5417126ce793fe5fda058f19d9ca73e217 Package: gtkwave Version: 3.3.110-c4m.1+3.63 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 3928 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.7), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libgcc1 (>= 1:3.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.49.3), libgtk-3-0 (>= 3.21.5), libjudydebian1, liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: armhf/gtkwave_3.3.110-c4m.1+3.63_armhf.deb Size: 2252812 MD5sum: 5d9718c07612c4280c5503def06ec157 SHA1: 60f68a465eb755ca53b1fc84e2368c5503b33302 SHA256: 8eeb80fecfcafa4ffa505cfb9d4fef9021519166b8f0732c41c4f25ed3f5703b Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.110-c4m.1+3.63 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 5880 Depends: gtkwave (= 3.3.110-c4m.1+3.63) Filename: armhf/gtkwave-dbgsym_3.3.110-c4m.1+3.63_armhf.deb Size: 5670564 MD5sum: bbfa536f3f27113b8352950ecebc4704 SHA1: 79d226903ad2baa97033ed51d25ccf6d7798698a SHA256: 5f70c46c1209cc2f3f9f0b126001cb73156ee7dc00d1335d6bd6031165479700 Section: debug Priority: optional Description: debug symbols for gtkwave Build-Ids: 06c885833790be67e2f7e52b4aad1802d8d1f09c 1e6d6cf783da7bdd9a7e5a01123f27aea4560d6d 32eb82a4fb6bae62f37fbec6691a0b5155688b64 50995f7f7f22d2af2f67f3c05c778047ddbd78e6 609d62179dffd072a143547ed2c2ba6022d93e79 6535470239d2752b29e7756aef0022f06f543458 672fb343d88caf005fba1781fe4795da109760c4 6db18385c59b6b7966ebfc8fd193be11d6155a4c 8d5d59ad7fa010c483e1dbd7b66a2efa6b4d6bd2 9212dee5e2d262ecb725fb830486e37bab5fd1eb 98d242379e3cf2e5dba695d30870079fe4ed0208 b8d133bbe86857c57f72d27f4bf50408f317ea57 c5aa63e50cbe173acbbf3ddb07a2277cae204685 e6413198f38431a02958b14aeece22e625612438 f4aca8dc1ca571f597a5bf9a0eeb222b29e0f097 f51cd916dc3a409727082de7ade7826f0fdc7dd2 Package: iverilog Version: 11.0-c4m.1+4.19 Architecture: armhf Maintainer: Chips4Makers Installed-Size: 5027 Depends: libc6 (>= 2.7), libgcc1 (>= 1:3.5), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtinfo6 (>= 6), zlib1g (>= 1:1.2.0) Filename: armhf/iverilog_11.0-c4m.1+4.19_armhf.deb Size: 1505960 MD5sum: 5fa12ffb28ba5e566d3905f665c11c06 SHA1: da551dc4c04e79432ca2328d77652b2eefb788ac SHA256: 63d3f4dcda25762752c754e1f14f3143239ecba3b0ea492dd513034ab73054f4 Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: iverilog-dbgsym Source: iverilog Version: 11.0-c4m.1+4.19 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Chips4Makers Installed-Size: 21466 Depends: iverilog (= 11.0-c4m.1+4.19) Filename: armhf/iverilog-dbgsym_11.0-c4m.1+4.19_armhf.deb Size: 20738616 MD5sum: dca042b741c40f43417cd898e5af3174 SHA1: ec34d39973d956e1827f5044b65916f3c565d2c9 SHA256: 39b5db2cbac7739f0e9a4d722fc8e167fc74f94269347f2d10712d5d5e0eaf06 Section: debug Priority: optional Description: debug symbols for iverilog Build-Ids: 0896d46d2207110e79ab71a81c2fedd0fcbf93c7 0cdcf967f3c1c6313eeed264677a3143afc0bfc6 157b323a9225f2a8228638cc0790693b90b9e14a 305566b19a15c1accfcd1db580f0a85fc40f4224 44e12373d3874a401e0026482660bbde83f6f4a6 4df9367bef0fe6e7aaa9e3ba98e03c36c0d2982d 5ce32dffb44271156dafbcb0a2361991e57ee7be 6d2d43463f46fa6a53911c0b87112a41a38789ef 762c38ff341689d55ffc0c0d09860625aea67281 8f76108ff0a240572b843beab4258c24d093dfd1 9a12b14d5e23efce70c641bb906d87d5f0cece1f ac225024b46d4b6122ef8c03e85b178b80501d14 afc6d21ce65866e1dcddacad6d71b758c0c1f0cd c68dce57a90aee6aa3e167e751ab1fa9d5063634 cd9e335df2714b2509d9db197ee8f6a9b110a42c d2d27439149734ad2b5c02ee7db310695628c379 dd17b5114fd31e125051105d7046b3c756132885 e24dec9cf2ae0ffe3d05c74122c6c6f7cc10028c e27d3f8efa5836ac5f250b693a9aa647b3fdf492 e5792c621685f2a21c086d362143059a1d04403c ffd267b9e8136055c1e8126ce1536ebaf1249bd8 Package: klayout Version: 0.27.2-c4m.1+5.29 Architecture: armhf Maintainer: Matthias Köfferlein Installed-Size: 137530 Depends: libatomic1 (>= 4.8), libc6 (>= 2.17), libgcc1 (>= 1:3.5), libgles2, libglib2.0-0 (>= 2.12.0), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libpython3.7 (>= 3.7.0), libqt5core5a (>= 5.10.0), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.9.0~beta3), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.5.0), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.11.0~rc1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.5 (>= 2.5.0~preview1), libstdc++6 (>= 5.2), zlib1g (>= 1:1.1.4) Filename: armhf/klayout_0.27.2-c4m.1+5.29_armhf.deb Size: 26342412 MD5sum: ebf1523170f8448c6bbbe8d915ce59d3 SHA1: 444536ce2621c4a18d85eead70d920bba2ee13fd SHA256: 481005ce3f67c822937afc5f8fecfc7697d5427262e1ad0cfda03341760c3221 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more. Package: klayout-dbgsym Source: klayout Version: 0.27.2-c4m.1+5.29 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Matthias Köfferlein Installed-Size: 127 Depends: klayout (= 0.27.2-c4m.1+5.29) Filename: armhf/klayout-dbgsym_0.27.2-c4m.1+5.29_armhf.deb Size: 9328 MD5sum: 99c23ab771914aec9fedb59e39a33143 SHA1: aaf49b66ebd9a1414842d793f7156ba88907b1e4 SHA256: 2ce00b5440887885ec7553a8936bd7288898a54b01f2865d50265d7e90743bba Section: debug Priority: optional Description: debug symbols for klayout Build-Ids: 0f21256a38a2a8c8a7785920e8bf22afee642ffa 173bd3ca7464e2124fd99c8967a2d446d35c3dc7 2c94e6145a75fea0858779067f430f5af360b3f1 41db3cf66aa175f5224e1f9df56a80e54aa45293 490788d99d2cddd8741dd5c8d4601a1a860a97c9 64bfb1a7dea6674d6ab09649ab6e48499262b205 6f055e405c854f556d9a65644479dd5a12041de5 755e6548f2851367d8e4ff7cb1898136bf631bd3 86019e30a72dfa79df31a740991bef60a7daf1f4 87f1d15b7e6d24a2902bea6e162954c28c2efb9a 9606f92c5b1cfab91805cc8749b450903af67ae5 a55599505d71f4dee18034c27a93bb1a0dc23f85 aba12e7963acc1d7572c5cf0bd0d3e91855e8e60 ae5abc9010f87c5f6534c54b17da53e09a12ca0c b6eb83d56708c10dbf03828b3c1b257a542f7f31 d0101203ad9a605346d2686e9d325868634f770d d072d3ee1395beb2143e6ffbb23cd57380eca9e2 Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 763 Depends: libc6 (>= 2.7), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6 Filename: armhf/netgen-lvs_1.5.133-c4m.0.0_armhf.deb Size: 176092 MD5sum: 76f7c76f133da7cebc9690b08e84f6c5 SHA1: dd4b2fa1dcfa595cfbc4d1f0b8942b0836019e3a SHA256: 3bdd290e001a0a7a96b6f08a87bc6708d82c4a7360527c835712dccc79280b07 Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 384 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: armhf/netgen-lvs-dbgsym_1.5.133-c4m.0.0_armhf.deb Size: 346680 MD5sum: fed11a934998c282f75771b741bddc62 SHA1: 3e731f8adc19c47d6d549ddf1f92503e617004a8 SHA256: d5fb6cfee5aac50e3f6f204515dc106b4bc09955d4b87d12470d94f0d77d4d23 Section: debug Priority: optional Description: debug symbols for netgen-lvs Build-Ids: 1b7894b53a7970d4c328a27efd1f0fdd730f526b 88a65aac012f9768b8186d620be074be311c7b55 Package: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 9840 Depends: libc6 (>= 2.27), libgcc1 (>= 1:3.5), libstdc++6 (>= 6), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: armhf/opensta_2.2.1.dev20210719.git37cd1fc-c4m.0.0_armhf.deb Size: 1134640 MD5sum: cadc8edeceb672d154e6374bf11b1b90 SHA1: 776e285cb42d5cfe8ca9ebce403eb067f728dc2a SHA256: 9ee69f7cb8aa40adbe34357dbb8226c31e37b56baca0c093b447197aaeb09865 Section: electronics Priority: extra Description: OpenSTA is a gate level static timing verifier Package: opensta-dbgsym Source: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 15628 Depends: opensta (= 2.2.1.dev20210719.git37cd1fc-c4m.0.0) Filename: armhf/opensta-dbgsym_2.2.1.dev20210719.git37cd1fc-c4m.0.0_armhf.deb Size: 15070428 MD5sum: d1bb23399be9d981ba510774b217dffb SHA1: f58ad00ba3a501eb4235026e68ab798a02582bdc SHA256: 5a6c33829da0a3a958b4b5272751cbdbc2ddac50a897f7392d5199cc8431f126 Section: debug Priority: optional Description: debug symbols for opensta Build-Ids: f464f83f5ac5db97142eb3444b025ffcd1810602 Package: verilator Version: 4.106-c4m.1+6.35 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 12276 Depends: zlib1g-dev, libc6 (>= 2.28) Filename: armhf/verilator_4.106-c4m.1+6.35_armhf.deb Size: 2736784 MD5sum: f6c94c5739d55954551a2fd534576046 SHA1: 627a7e59b44583d36eea458c9fa1e4e242feebf5 SHA256: 80a6d10cda9f348f70aa77781322751f4d9140b633ccaf26d172701baf7193ce Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator-dbgsym Source: verilator Version: 4.106-c4m.1+6.35 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 99473 Depends: verilator (= 4.106-c4m.1+6.35) Filename: armhf/verilator-dbgsym_4.106-c4m.1+6.35_armhf.deb Size: 96236088 MD5sum: 050a9c4425145c48eac88ab91e761b02 SHA1: e999980ec1c7729aacc23d9d6b164d89cafd3305 SHA256: b0ffb8faa5e204f7d80bc56c20b8201be0d0564a0c28bf3e7432428ba7c04625 Section: debug Priority: optional Description: debug symbols for verilator Build-Ids: 3ffc8053dfd17d87b585a4547de31aa3b09a39a3 59f34d49cf429f07b0a9110178531a5272517600 ba4499228a17114c1ce387b74bad3f27cae17894 Package: yosys Version: 0.9+4081-c4m.1+6.16 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 34649 Depends: libc6 (>= 2.27), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.5), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: armhf/yosys_0.9+4081-c4m.1+6.16_armhf.deb Size: 9120888 MD5sum: 78a9031d8a4c998bb25d7f72cf55d877 SHA1: d07c4725452383b0910ec13ebb3e1a09924254b4 SHA256: 7afe1ed93578584c1dfc80627ab8a3c74a9ec35a188a3c9b18fdb9ff1679ab57 Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys-dbgsym Source: yosys Version: 0.9+4081-c4m.1+6.16 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 6069 Depends: yosys (= 0.9+4081-c4m.1+6.16) Filename: armhf/yosys-dbgsym_0.9+4081-c4m.1+6.16_armhf.deb Size: 462780 MD5sum: 76377ec3a16980f1e3070570e8e81fe5 SHA1: 0045ea9fdbc313f30c6043407ea58f3c5273d6ff SHA256: 93727d9c13a91f38e0aa1c63d48e0912ddc8b6f8c9dc340f732fecbb8635ec5a Section: debug Priority: optional Description: debug symbols for yosys Build-Ids: 15df3698a954370b656005ec5f38e08baed3cfec 5766c1bf95c489399f07b701352fa12af0f48f23