Package: cocotb Version: 1.5.2-c4m.1+6.30 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 1874 Depends: libc6 (>= 2.17), libgcc-s1 (>= 3.3.1), libstdc++6 (>= 5.2), python Filename: arm64/cocotb_1.5.2-c4m.1+6.30_arm64.deb Size: 281616 MD5sum: f3d1d5ee5faa03608ec17f5a8d4edf5e SHA1: f4e284b7987d43721985663f43feacac8c213993 SHA256: 42bdbb239636e2cf262a9cf5ae47602fd9f4fb8997c4ea8004bc2e1ebf62474b Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: cocotb Version: 1.5.2-c4m.1+6.30 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 1765 Depends: libc6 (>= 2.4), libgcc-s1 (>= 3.5), libstdc++6 (>= 5.2), python Filename: armhf/cocotb_1.5.2-c4m.1+6.30_armhf.deb Size: 275952 MD5sum: a24ee415a2317cbfa30067e9d8add813 SHA1: 0cdd8cc68b0aeafd9426d1f68cdc6f33d48bf6da SHA256: 2f6d176cc1bf7885c2fd48f0092727df6031ccddfccd2f043191559f1d9fc75a Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: cocotb-dbgsym Source: cocotb Version: 1.5.2-c4m.1+6.30 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 1654 Depends: cocotb (= 1.5.2-c4m.1+6.30) Filename: arm64/cocotb-dbgsym_1.5.2-c4m.1+6.30_arm64.deb Size: 1206236 MD5sum: 39e39305c14d59cbce65e4549ba239d0 SHA1: eefade31c2e29212d3d6dccc4ff199c328a94527 SHA256: edab8ec9d2622e9e94eb8bc2b67cde434345730a608698edae87a87fa1158439 Section: debug Priority: optional Description: debug symbols for cocotb Build-Ids: 0e522a98495e0830a2b0861236da9e768bb08b93 43bbd2ad5eb710891d90ca5611f70eeb2d3ff2d3 5c839e4d1c2d45627acaf7d7f02c74cd9bf11864 769d9aec277a51062da8f6d961a7c614f6eb784b 7bdcb432afbdd9194bc1cb2571e8364af3396825 980daccc6973942a97557b5a16836cf409507eb3 b7bd7a8ee941ca9bcdb3d451df606181cca0a264 b8f7fd629dfe412240934f993924ccfa28086583 c40cd3b93e804e4d37eb4428d820aa020d3265e4 c57ced2c93940512a61350bc8c545259e997ac84 d67c989c87fddb7a59406e9da95371cfdfc0afba e615ef039088b327b91bc664f91b4b31bf7ae1e3 fb1a00425b39577d16bf12237fb7f0823e79c272 Package: cocotb-dbgsym Source: cocotb Version: 1.5.2-c4m.1+6.30 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 1593 Depends: cocotb (= 1.5.2-c4m.1+6.30) Filename: armhf/cocotb-dbgsym_1.5.2-c4m.1+6.30_armhf.deb Size: 1329004 MD5sum: 029a36229775941f3e146fc317dc5206 SHA1: aa5dd5512cbeb01e55a92f31f8aa7a85579eba11 SHA256: fc2312e812afa4a422f5ecb1f0f672c2cd5383e25c4ed79eb72761ce7936c2b7 Section: debug Priority: optional Description: debug symbols for cocotb Build-Ids: 157666fd546910a9ae538ee1f249fddff3998810 1abdab7839ed5bad4c0ab53ea20bba73e9cebf95 279895f3be13c431757bcd25ad392403066bae3a 434aa6f08a06e6ec6fb040fdd60bb6553757b92a 43c973d40635f6e64e1e748e6cd3604f37c4a66a 4e34601a63dc749840f42795ca0b50f9dbd9364b 5f95c809b401a0d53a285029ac2585df6de30fa6 6f031481c2276aa031f5c5d2d82397eb55d9ef1e 8395a1af2a5a62bb377e655402b054d9e89aca55 8e4f0615599facf808e161bee4d928af94c437ec c0499667ac6fb8c41119808d32e7f8ffe7aeb49b c38bbcb9a5ad074cad1c1eee7f8ea2d1a450bf9c e2626a9dd6c37a915df4970c6edca2c1a15b2934 Package: gtkwave Version: 3.3.110-c4m.1+3.46 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 4434 Depends: libbz2-1.0, libc6 (>= 2.29), libcairo2 (>= 1.10.0), libgcc-s1 (>= 3.0), libgdk-pixbuf-2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.49.3), libgtk-3-0 (>= 3.21.5), libjudydebian1, liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: arm64/gtkwave_3.3.110-c4m.1+3.46_arm64.deb Size: 2317092 MD5sum: 98bbcb96656bb41e79a9581a7170e6ee SHA1: 830243f41e003c90c5e25129de133970a6ea01c4 SHA256: b349e8893fe88f2b873ddf52ebf477ddfbca54f6fbb9a23622d89330a0a87e99 Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Package: gtkwave Version: 3.3.110-c4m.1+3.46 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 3972 Depends: libbz2-1.0, libc6 (>= 2.29), libcairo2 (>= 1.10.0), libgcc-s1 (>= 3.5), libgdk-pixbuf-2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.49.3), libgtk-3-0 (>= 3.21.5), libjudydebian1, liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: armhf/gtkwave_3.3.110-c4m.1+3.46_armhf.deb Size: 2257404 MD5sum: 4481bc258a00fcfe7c75d53e5fdc7e87 SHA1: 2fdf7d8a812dbbb1f8e32cfced3e6c8cbe456e60 SHA256: 5e556670cab5995b6165614c2b55c1e9fc9d40de23ae1190a8741955000fc540 Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.110-c4m.1+3.46 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 3849 Depends: gtkwave (= 3.3.110-c4m.1+3.46) Filename: arm64/gtkwave-dbgsym_3.3.110-c4m.1+3.46_arm64.deb Size: 3510644 MD5sum: 88e2b6797edfed26338865756229723a SHA1: fb16d086e85bf037a7a5c7d10c2bf398da50acef SHA256: 2b5cf2616d55829516e0461c8a98bc20b7201dead36ed389a04aa140ee998910 Section: debug Priority: optional Description: debug symbols for gtkwave Build-Ids: 0cec14cdf99e5aed306fcd051d1f92ffff0cb7b0 338bdcaea40e789338ad861eafb62711d061bc26 5697fd27715b277d7114822252f089506f066841 59ae994e093927eac53bb9cfc388940cbf032dc6 71641eb231ee0d5ca37b5671b239c853184821df 72fec86693f6fe28b052510237058fb27461bd6b 775466d2af619c67fca8ae91af6f4733937f1a0a 78360aa5d084b1dcc9dc9f684caaaa4253200695 7fb72b99ec4d1cc55194b4745af415f307da0642 a3f54e0e7cdae54c10b9d6ee02f6a5821ed0b59d d0a17515a538475236a0963134a06b2b2c3298fe d356946abb5c0d049aa72e0a9201fd4bb3c099ff e3c5ecbad6fbe45a5dbaf8a15f4581afddb93079 e884d2a2b153fd76de3d7763391ec4c41157bebf eda49006c0ab88f13b7d71dcd7d63820e44d7d24 fc278abfe52ec99905b7fb3a3566665780c50a86 Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.110-c4m.1+3.46 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 3715 Depends: gtkwave (= 3.3.110-c4m.1+3.46) Filename: armhf/gtkwave-dbgsym_3.3.110-c4m.1+3.46_armhf.deb Size: 3404004 MD5sum: 72dc402eaf65dbf2f87e823395654d93 SHA1: 1330d1882880191f8e41814c13c12c494a61c8ef SHA256: cc25c0e8805996472666c0a0d32762d4aa0336607d42fa0395518868da695cc7 Section: debug Priority: optional Description: debug symbols for gtkwave Build-Ids: 029f95354f51995ca737ad44245b21b75756d375 26c073074681b3e0b7be2d8894e87bed2e60f489 3e42b989cf87557d868a2b428cb82f4f16ec218f 69faad762c5b70c90298ce0904af079fd34f8010 75b5057b4c48fbe572f8d1dc18de44e114fd16b8 7c46036b0b62d21f2de63d548e8934d97443f8cb a86cca75f2d8112de20494495b261a9bd8afcd9d aae532fcd467520137f8c48db8d5090e30c27405 b2c254fb74bf3ef5c629dfc9007fe1066729c6ee c627448da8ee6d4f356a5222a0da66351312e69e f063d597af6138a93fc38bc2ed20d6d8eb2e0381 f07ca5786fa6c4a5502695052aa277ca1598728a f15a04d1f3cc014d52e874a4b6945afd0fd1ed11 f30709b05f8a3339a5f1466262d69ed7eb6df9d3 fb33cb82611fd4e75322da05ff5a465d9d11f646 ff7f4646eb9f5c85ecd1565cabe500e7ebdf605e Package: iverilog Version: 11.0-c4m.1+4.23 Architecture: arm64 Maintainer: Chips4Makers Installed-Size: 6425 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.0), libreadline8 (>= 6.0), libstdc++6 (>= 5.2), zlib1g (>= 1:1.2.0) Filename: arm64/iverilog_11.0-c4m.1+4.23_arm64.deb Size: 1728700 MD5sum: 43daeb21ca2b78ec80810ce3a99ff5ba SHA1: 84ec508ea7263acf6c29b7123e6813acc0f6dad1 SHA256: c6d5f7e5d098f8b777a0303dfb0b48c1ea9bba99d54eb1dfd82975397310ce9a Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: iverilog Version: 11.0-c4m.1+4.23 Architecture: armhf Maintainer: Chips4Makers Installed-Size: 5179 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.5), libreadline8 (>= 6.0), libstdc++6 (>= 5.2), zlib1g (>= 1:1.2.0) Filename: armhf/iverilog_11.0-c4m.1+4.23_armhf.deb Size: 1540960 MD5sum: cec82072b4928c7b452fee3c68fc0774 SHA1: 1204a68bafe891bf8b1c3dfb0f309af5445bafdd SHA256: 6eee1a4f8457e9fecafcdc600c5a4b40d1b16bfcd638642dd9617f9bf5a6421e Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: iverilog-dbgsym Source: iverilog Version: 11.0-c4m.1+4.23 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Chips4Makers Installed-Size: 21912 Depends: iverilog (= 11.0-c4m.1+4.23) Filename: arm64/iverilog-dbgsym_11.0-c4m.1+4.23_arm64.deb Size: 21225540 MD5sum: 0e100c332ae7db1a243cf7a068418bc0 SHA1: 1cb3b8ece2947a0a14d176c19d2d3b5ef7c56fd7 SHA256: 05a3da967a87e5cf37e3e6e41ca66910bd3405a34847d594a6b8b74bf7553297 Section: debug Priority: optional Description: debug symbols for iverilog Build-Ids: 17eedb7a71c5a7d78990b71d83b0cca0d8dc0092 184081e24b0f5f47955a40f27815677790e91960 2147f752c25a9bc358bc1efe30b9a4b72fffd458 3e41377571a975ed6f5bd7c04f04ff421235f33d 480ab36bae8be0b3d7abb9ecb5ec26d1c704342f 65340959fe9996f506747a285267e95c21d25aa5 74b885e841032be8341e9dad4a2a3e848f07980a 7bcc4da73c53da25c49d3032080d242407d99896 8387924ebc9472c84ac5611632a76b6745fb9bdd 875941ff03cc23935968109c3ee7754b61a90516 9204033313e8601a971050b25b69e14311395264 95c61edd5582555634386597654a18d5b2964bfd a276d27f4c1931b440c188fd77844f912e7a03e5 a83def880b39b57ebe20eb01995b3f1bf43ae9bc b4a75d0f93493ab8ca7e65782432a2a79a420d46 bc1a468aeae8d4b5f3cc6b6208a7d5b395bf07d2 bf1e1dd89b3aa4e86d6ae4e528139e74fc442ab8 d33f4a09f47775dd10f26ca4ab76558e13a31aae d55bde1d0d4c240d5f1632f38704c82052755b04 dc17fa140fcf39f68b393df78f686f16fa1257fd e31a353a982b26f9a5f9225b66aad52ee51a131c Package: iverilog-dbgsym Source: iverilog Version: 11.0-c4m.1+4.23 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Chips4Makers Installed-Size: 21654 Depends: iverilog (= 11.0-c4m.1+4.23) Filename: armhf/iverilog-dbgsym_11.0-c4m.1+4.23_armhf.deb Size: 21027172 MD5sum: 0e71dbfcef01c68b2b5dd84b0eefd36b SHA1: e2c2b274f4fc7064b7d138b9ede94821c707f8a2 SHA256: 04cfb713b888aec4973ed291ebac3b22b839e2dba960d4a174986e353b5378c0 Section: debug Priority: optional Description: debug symbols for iverilog Build-Ids: 0f32d22cf81b16679b847cbb9445642f041def6f 1625decc243d350283fb0002e2eb7037f1a87f64 187b07d656b71d2b97f4274425207336f76a6664 1ebb4528ec37f4b278929e8bd5fc654e7010a96c 1edd7a8fc0b66186c69201af4dfb37e95c53875f 1f5a5b69bcffab3c48045bce481bca85b9f4f4df 22c95f66751f652845e90ea6cbb6cb808b553506 2a0e67ce150c8267c6daa706f8c703340d12d6ea 2de064085887c26b23689b5456d94be363e32807 489f63635187e2ec4abd06507b5b3b3dee44479b 5d721ce242eaa1492e050153dc544bddcff82162 6268a641d7371015d7cb51137adf5a41cee6319b 705442d889cbf67d420829b25f7c15a2c381907c 74920320c21ea2dee08decd6ffcdf3cf4783ea6e 94f30b9473707f458c28c959588f33d351042883 a5826d3ecce877c49e87997f8da75945de453086 ad1dec8190d5f04f895413bc486c43ef204a31ee c6f4258ec3ea41a4266bb0ac433534171e0ade5b d97ae3c46b32f5110f943b18c85442bba3d06ec6 dca744f0e8b476d63c4ca8daede0b5a51287ebe3 ef5fc803db77e83f2fc49ff671485781b87443e1 Package: klayout Version: 0.27.2-c4m.1+5.45 Architecture: arm64 Maintainer: Matthias Köfferlein Installed-Size: 174814 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.0), libpython3.9 (>= 3.9.1), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.7 (>= 2.7.0), libstdc++6 (>= 9), zlib1g (>= 1:1.1.4) Filename: arm64/klayout_0.27.2-c4m.1+5.45_arm64.deb Size: 30050308 MD5sum: 1aa02cd78e045acfb4217f00997da515 SHA1: fae7c91d04b3a67310f7a6600802cf0287d5048d SHA256: f6759456d8044965ae7bfea01e81c5e1524a4e065892941a331807f82af854c8 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more. Package: klayout Version: 0.27.2-c4m.1+5.45 Architecture: armhf Maintainer: Matthias Köfferlein Installed-Size: 147745 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.5), libpython3.9 (>= 3.9.1), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.7 (>= 2.7.0~preview2), libstdc++6 (>= 5.2), zlib1g (>= 1:1.1.4) Filename: armhf/klayout_0.27.2-c4m.1+5.45_armhf.deb Size: 27639076 MD5sum: 1eb6a77407aa90ff0972d016912b6794 SHA1: 78037b488ca1a9b43725bacc17eebc22fd783e39 SHA256: 2bdc8d172829af6430a61710b7bba9a8b120533d1e176b2b0eff5e0340d55f38 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more. Package: klayout-dbgsym Source: klayout Version: 0.27.2-c4m.1+5.45 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Matthias Köfferlein Installed-Size: 161 Depends: klayout (= 0.27.2-c4m.1+5.45) Filename: arm64/klayout-dbgsym_0.27.2-c4m.1+5.45_arm64.deb Size: 10632 MD5sum: 0d1fa8cfa6fb14ef10be709a948464db SHA1: 90368498004331547f41d662c366a3a4e1d05147 SHA256: 2e31bdb5a8156787e975f258afa653b2515e0450ec3d310a74ac83f380a466ad Section: debug Priority: optional Description: debug symbols for klayout Build-Ids: 03914f7f378748d8c062283c551f861868c26dae 2dce7d0b555852a0b9d3647a4bc21dee0b693d3b 4413283fd50f06d5931da5534bab2cf73efef747 536f5ef78c9ce3eba6f462c7be722dc8e6eded59 7ba3912d2e3905143fa3bdca341912acdb34b186 7bbf9600000272b3118cb0970889a51318c06ce8 82eb0ddf0174d16b4503e635ccd91e35d3f9009b 84eb78c412539321a936f51bd792eda033881c13 87dbe7585acaca7b939d35db874b23285053a564 909050cd40f4250c4205f9a60ab93481c58e8a13 93a60c6e76fa3bc4f60dc9aad442b0fc784b322a 93b54b9d6ff627a563902e72ec8c81cd61f39fdb 9a6b1618812b6408821986604fa39f3ef383c019 c253c9e514bef33abb89a012800c542ab340c2fb c6a578279942f8c18dca8f76fe639d520ec0980d ebb1063524498d7e9f163c33f3827b898f77ed40 feb5776dce831a9761eb7df001b88c6bfeaf99e6 Package: klayout-dbgsym Source: klayout Version: 0.27.2-c4m.1+5.45 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Matthias Köfferlein Installed-Size: 128 Depends: klayout (= 0.27.2-c4m.1+5.45) Filename: armhf/klayout-dbgsym_0.27.2-c4m.1+5.45_armhf.deb Size: 9756 MD5sum: 73438ae114043da3360033cd06f6d60f SHA1: aa5ed23013de25938cdcaaecf0aa370c89193868 SHA256: b063060552c90322c33504959e0d67b98de9a41b4552655c98abcf2e09bd9609 Section: debug Priority: optional Description: debug symbols for klayout Build-Ids: 0e339744ea4c0e54da99a7ab9f4f8226de74a3dd 18ce46319537e2831b9ef486098c30950e7b3b8c 1eaeb91c9327589c75f94e12173d3e130e25d43b 28f5d91ec843a656699713e4c4240117989bfcff 3304c0f1cdd80f24202cd203551b8fc415b38272 39b40aebb3050aaf24294f0fa193f17a7610e8b0 5578e869f3eec5ec9d49c0710db78b00d15f911f 63eb8801c398fb3ead80286021dfd1b94ec8bec5 77e24820c396963f94c23973ea6ccbcaeb0261c8 850995a9e065df64269de9cbe4bdd3c3ea29002d a71bf1f9057f83c38bbac7824c4abe4bf58a6eca b0199945092f1914946eeeb98e072787644fc3e8 c92a09572884fdcd6a77a9eddcefcaf83a0e7c2a d40083328f3596ecc52df8c305db662e0fe37739 e6bbc32ac135ba4baf4a39b8b019beb5b6707a33 ea9a6fe7f8f6d056e52f48000ff853d573d976af fbf84667b502ea7edbbe483f90ae379150971f57 Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 758 Depends: libc6 (>= 2.29), libtcl8.6 (>= 8.6.0) Filename: arm64/netgen-lvs_1.5.133-c4m.0.0_arm64.deb Size: 173476 MD5sum: 1b478a1572ff74524b9c8891451b4f8d SHA1: 53d6e0e2b3cfe0a57f7cc309dd4040d3e0bca990 SHA256: 437a7fd598d61c719eede16d769129e41851c6905ab7e42c4708acb7201f8041 Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 763 Depends: libc6 (>= 2.29), libtcl8.6 (>= 8.6.0) Filename: armhf/netgen-lvs_1.5.133-c4m.0.0_armhf.deb Size: 176112 MD5sum: 0b750d60b2ce94d2680d52961932638b SHA1: 6317c707fc40ff24a3c770ce9e8cbdee556bb03b SHA256: 89373e2e8875b99fab632e456bb059b64eac6382bcacee8bd4ef70b7e4a5b604 Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 355 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: arm64/netgen-lvs-dbgsym_1.5.133-c4m.0.0_arm64.deb Size: 313612 MD5sum: b187d7c1f3b50b5ae79dab2da14ecbbb SHA1: 03ae2d35bad0cd1b175de76222696e7fd989de66 SHA256: 7c1bbc22a9808c6ee8aed9e6cb15d674bda32131b48309c1c3ec8e45d41892da Section: debug Priority: optional Description: debug symbols for netgen-lvs Build-Ids: 324c0a8b763c7248b7a983ed4ae8ff5c8a879995 b98aecb1ad6282e4c08231fb5c49a7fb8219affa Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 362 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: armhf/netgen-lvs-dbgsym_1.5.133-c4m.0.0_armhf.deb Size: 319488 MD5sum: 556829062a0a0ba8282d69e69d27d523 SHA1: a3fb015b51694da15c582add5bfea8708fcea705 SHA256: f5fae7ccc4cbc326fdefa654b8bb080a24107256507e560bd1c9a944a2531387 Section: debug Priority: optional Description: debug symbols for netgen-lvs Build-Ids: 28981f5bce4f57e5478a08dcec2804228a167c3a 4db50bf0fcbf219d2101e1aa0cbd21b3a4ed69ab Package: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 12073 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.0), libstdc++6 (>= 6), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: arm64/opensta_2.2.1.dev20210719.git37cd1fc-c4m.0.0_arm64.deb Size: 1514188 MD5sum: b1bedc8a3e2ffec95f817dd7088a8380 SHA1: 6837b60c5e21330530899ba118dfd77ca0d6a0cb SHA256: f4b9719cfc2e756ae68f9a6bd5db28cee1c940c68a7aff4d535fa93f798da728 Section: electronics Priority: extra Description: OpenSTA is a gate level static timing verifier Package: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 9859 Depends: libc6 (>= 2.29), libgcc-s1 (>= 3.5), libstdc++6 (>= 6), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: armhf/opensta_2.2.1.dev20210719.git37cd1fc-c4m.0.0_armhf.deb Size: 1161152 MD5sum: 29d569ab63edf9e6efe27c3852b5d5e7 SHA1: f1a65909e1ad3d1e8f4ac6e7b29f1c2e6837b22f SHA256: d0f0b611db44027f6b4e6d202f51378fd2404e63774765810fa8a8b1c3d475d8 Section: electronics Priority: extra Description: OpenSTA is a gate level static timing verifier Package: opensta-dbgsym Source: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 16945 Depends: opensta (= 2.2.1.dev20210719.git37cd1fc-c4m.0.0) Filename: arm64/opensta-dbgsym_2.2.1.dev20210719.git37cd1fc-c4m.0.0_arm64.deb Size: 16327324 MD5sum: 5699418a67c0e5d607ec41e49e622e99 SHA1: 5cbfaa02beec301f2edce8847237a0ab604e5793 SHA256: c56ef42028bc9933b4806d4f2ab4e762695760502ed6648ee58dd040a3c43e8f Section: debug Priority: optional Description: debug symbols for opensta Build-Ids: ec2a683c44868f95e25374482463f7dc08c3a8e7 Package: opensta-dbgsym Source: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 16499 Depends: opensta (= 2.2.1.dev20210719.git37cd1fc-c4m.0.0) Filename: armhf/opensta-dbgsym_2.2.1.dev20210719.git37cd1fc-c4m.0.0_armhf.deb Size: 15937348 MD5sum: d4e536e483535f2ac7ba82a68c9ae782 SHA1: 2a8cf19d186928bf2b4507edd80bf93b69da30c6 SHA256: a77a67de811077554cc4f20cac74b0e44239a468509b9545842596a0afe5b40f Section: debug Priority: optional Description: debug symbols for opensta Build-Ids: 6e8874422b924cf952a6b55d53bc07e999336d7b Package: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 18632 Depends: libc6 (>= 2.17), libgcc-s1 (>= 3.0), libstdc++6 (>= 9) Filename: arm64/opentimer_0.0.0.dev20210719.git8fb20ff-c4m.0.0_arm64.deb Size: 1470468 MD5sum: 764da82b9e7885ec323d50cd96cbc773 SHA1: 76d32c53c5a580a73ae871f4154f07489cb6a1de SHA256: 7e6a99bad25b35131adb7dd443ccf5d949c4098ca4297b1780b2e811d34b5b77 Section: electronics Priority: extra Description: A High-Performance Timing Analysis Tool for VLSI Systems Package: opentimer-dbgsym Source: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 34411 Depends: opentimer (= 0.0.0.dev20210719.git8fb20ff-c4m.0.0) Filename: arm64/opentimer-dbgsym_0.0.0.dev20210719.git8fb20ff-c4m.0.0_arm64.deb Size: 34376808 MD5sum: da9af26d3cb623c2836efe3ad61c0543 SHA1: 66db1d7456d9f0aea66c489a710507cb938db3de SHA256: d71ba013cac718dded0308cacbc764c5802becea757e30eef7980fba9e5b5733 Section: debug Priority: optional Description: debug symbols for opentimer Build-Ids: 5f7de381a7be28846897e47e3d06addfde15888c Package: verilator Version: 4.106-c4m.1+6.26 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 19736 Depends: zlib1g-dev, libc6 (>= 2.29) Filename: arm64/verilator_4.106-c4m.1+6.26_arm64.deb Size: 3901060 MD5sum: 30d52672b1b7f663d564f4d84f39b872 SHA1: 4fecdce803d760c1708727d5afa9ba380e537026 SHA256: 6c3dce23886bdbd7f91c9c384ec6d6a4e44249b29945d8603f4ee5dab078cd32 Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator Version: 4.106-c4m.1+6.26 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 13344 Depends: zlib1g-dev, libc6 (>= 2.29) Filename: armhf/verilator_4.106-c4m.1+6.26_armhf.deb Size: 2886312 MD5sum: 24b2d0c50e78f6fdd47436c44e1f8adf SHA1: c7ac694c095091afad5314cce88841ac53f2d52f SHA256: ae7fe1c8c707065962e844453dc8a458de6ee926e60179ce3eec2b9bf5c5b89a Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator-dbgsym Source: verilator Version: 4.106-c4m.1+6.26 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 101839 Depends: verilator (= 4.106-c4m.1+6.26) Filename: arm64/verilator-dbgsym_4.106-c4m.1+6.26_arm64.deb Size: 99070632 MD5sum: 29496b7c450158cc49347429aa47207e SHA1: 47d48aaae8a79491dafbfc677e32307f6ce44730 SHA256: a6175654b2467183650980886ad35acd06a9e37ec055e7538546939b10d2120b Section: debug Priority: optional Description: debug symbols for verilator Build-Ids: 88b79a20e5650c834352242346645755672007d5 89aba024900abf1c4cd91be603c6b6e7389286a4 ca79d0ee3231c1fccbef4a66abefe75b0a572ff8 Package: verilator-dbgsym Source: verilator Version: 4.106-c4m.1+6.26 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 99656 Depends: verilator (= 4.106-c4m.1+6.26) Filename: armhf/verilator-dbgsym_4.106-c4m.1+6.26_armhf.deb Size: 97074012 MD5sum: 497df3711a19e6846ba06ff371e5bd3e SHA1: d11e7ce3d4513dc655bf29ddde2b4a7caa08e8e5 SHA256: d9de035abf19c7d69f54924a72f743a5ae12db526b90495cb0cc1bdc41271128 Section: debug Priority: optional Description: debug symbols for verilator Build-Ids: 0e8aa953f23b21a85d219fa2962b1f16b5c8e1ed 380ce8f82cf6779860d07aa3894b8230d38ec533 ee2f343b0358544a7e30081c8da165fe7b1dfdb5 Package: yosys Version: 0.9+4081-c4m.1+6.29 Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 36377 Depends: libc6 (>= 2.29), libffi7 (>= 3.3~20180313), libgcc-s1 (>= 3.0), libreadline8 (>= 6.0), libstdc++6 (>= 9), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: arm64/yosys_0.9+4081-c4m.1+6.29_arm64.deb Size: 9166276 MD5sum: fc43f271020d965eba0e3aa57dda7a2f SHA1: 06a17a1c22e18916dc4804bd85d1a9bcbcf8fc05 SHA256: ca51ef844ad6c3e340e011ebebbedda9d953afa425227f28aa9671ef89761f88 Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys Version: 0.9+4081-c4m.1+6.29 Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 33407 Depends: libc6 (>= 2.29), libffi7 (>= 3.3~20180313), libgcc-s1 (>= 3.5), libreadline8 (>= 6.0), libstdc++6 (>= 9), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: armhf/yosys_0.9+4081-c4m.1+6.29_armhf.deb Size: 8840820 MD5sum: 1690f9928d860674f353d8361035876d SHA1: 5a9665d985937a166f859ec86f1af5278c81977a SHA256: 7769ccb7c4191b2235034c64d8dd6f8bec7076fb52e0273aa7340adce7091045 Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys-dbgsym Source: yosys Version: 0.9+4081-c4m.1+6.29 Auto-Built-Package: debug-symbols Architecture: arm64 Maintainer: Staf Verhaegen Installed-Size: 5390 Depends: yosys (= 0.9+4081-c4m.1+6.29) Filename: arm64/yosys-dbgsym_0.9+4081-c4m.1+6.29_arm64.deb Size: 384488 MD5sum: fa4cc572507251a1dc11ddfd0398e7fc SHA1: bd4619f268553c3334a58a8da7c0aeb858068ef3 SHA256: 535de60d25a334070b99d56d57516569407bf8aeeeb05f8a78389d772fbb8759 Section: debug Priority: optional Description: debug symbols for yosys Build-Ids: 0ccd122891c00b48778c1c1ba3b808bd9e0be63f 83c0650bd3a31e35b59aa2072d77e0a38f9c4241 Package: yosys-dbgsym Source: yosys Version: 0.9+4081-c4m.1+6.29 Auto-Built-Package: debug-symbols Architecture: armhf Maintainer: Staf Verhaegen Installed-Size: 5388 Depends: yosys (= 0.9+4081-c4m.1+6.29) Filename: armhf/yosys-dbgsym_0.9+4081-c4m.1+6.29_armhf.deb Size: 430252 MD5sum: 59a1a4d1ea1ae2396e7e667ff835f095 SHA1: 3b5640e1c3ac1b209035d23bcf821896f2ea9786 SHA256: 0d59fd5dec2933d1bc1a328601fc60dd3041c05b0904466c29f861b7224ee44c Section: debug Priority: optional Description: debug symbols for yosys Build-Ids: b798ce1d56b028e650c5606b255bc9079180b62c fcf57add3e01a586bbc08855ce1f016490066712